Difference between revisions of "System deployment"

From NaplesPU Documentation
Jump to: navigation, search
(Memory Controller)
Line 1: Line 1:
 
TODO: descrizione uart_router, memory_controller, con riferimento a template nexys4ddr, (comandi, console) e memoria, disegno/schema, interazione con host, loading memoria, avvio kernel
 
TODO: descrizione uart_router, memory_controller, con riferimento a template nexys4ddr, (comandi, console) e memoria, disegno/schema, interazione con host, loading memoria, avvio kernel
  
The [[Single core version|SC_System]] has been deployed on a Nexys4DDR FPGA board, modules involved are located into ''boards/nexys4ddr'' and ''src/deploy/'' folders. The design interconnects the board DDR memory and the UART respectively to the Memory and Item interfaces. The figure below shows a schematic block of the top module:  
+
The [[SC_System|Single core version]] has been deployed on a Nexys4DDR FPGA board, modules involved are located into ''boards/nexys4ddr'' and ''src/deploy/'' folders. The design interconnects the board DDR memory and the UART respectively to the Memory and Item interfaces. The figure below shows a schematic block of the top module:  
  
 
[[File:Nexys4DDR.jpg|900px]]
 
[[File:Nexys4DDR.jpg|900px]]

Revision as of 18:30, 14 May 2019

TODO: descrizione uart_router, memory_controller, con riferimento a template nexys4ddr, (comandi, console) e memoria, disegno/schema, interazione con host, loading memoria, avvio kernel

The Single core version has been deployed on a Nexys4DDR FPGA board, modules involved are located into boards/nexys4ddr and src/deploy/ folders. The design interconnects the board DDR memory and the UART respectively to the Memory and Item interfaces. The figure below shows a schematic block of the top module:

Nexys4DDR.jpg

The nuplus_system lays on the middle of the design, while uart_router and memory_controller translate nu+ transactions letting the system communicate with both the host (through the UART) and with the board memory (through the DDR interface).

Memory Controller

da AXI a DDR The memory controller deployed in the current release translates nu+ memory requests on the Memory Interface into AXI transactions, forwarded to the MIG IPCore instantiated into the design. Then, the MIG turns incoming AXI requests into DDR transactions forwarded to memory blocks located on the board.

Host interaction

Uart controller e traduzione in items per nu+ (da uart_router)

Console commands

uart_loader.py

Starting a Kernel